X
Tech

Inside GlobalFoundries' long road to the leading edge

A recent tour of the company's remarkable fab in upstate New York illustrated both how far the foundry has come and how challenging the future will be for advanced chipmakers.
Written by John Morris, Contributor
globalfoundries1.jpg

There are only a handful of companies in the world that design processors using leading-edge technology. Even fewer are equipped to manufacture these chips. Intel makes its own, Taiwan's TSMC and GlobalFoundries are pure-play foundries that make chips for other companies, and Samsung is a little of both. The competition is intense, the fabs cost billions, and it takes years to develop a new process and ramp it to volume production. Not surprisingly, these chipmakers like to keep things close to the vest, so when GlobalFoundries recently welcomed a small group of journalists to its fab in upstate New York, it was a rare opportunity to see a leading-edge fab in action.

The fact that a plant this large and advanced exists at all in the woods of Malta, New York, a small town just north of Albany, seems like a bit of a miracle. It hasn't been an easy road. The company, created when chipmaker AMD spun-off its manufacturing operations, broke ground in 2009 on a 250-acre site where General Electric scientists tested rockets during the Cold War. The state offered $1.2 billion in incentives and in exchange GlobalFoundries agreed to invest $3.2 billion in a fab that would employ 1,200 people with a payroll around $72 million.

GlobalFoundries planned to start production on a 32nm process by 2011 and eventually ramp production to 60,000 wafers per month with additional expansion on the drawing board. But manufacturing yields were low at both the 32nm and 28nm nodes--which required new materials--and its key customer, AMD, was struggling to keep pace with Intel. The 20nm node was a bust for the entire industry because the so-called planar transistor architecture had run out of steam (Intel had already shifted to 3D transistors, known as FinFETs, starting at 22nm). GlobalFoundries was suddenly confronted with developing an entirely new architecture while simultaneously getting the fab up to speed.

"Malta struggled initially because we were trying to bring up the factory and develop a new process at the same time," said Chief Technology Officer Gary Patton. "That's not a recipe for success."

Instead, GlobalFoundries licensed Samsung's 14nm FinFET technology to get to market faster. At the same time, AMD revamped its product lineup to be more competitive, and today GlobalFoundries is cranking out all of its Ryzen processors, Epyc server chips and Radeon Vega graphics processors. It also manufactures one of AMD's semi-custom processors used in a popular game console, as well as IBM's 14nm Power9 server and z14 mainframe chips. It has taken nearly a decade, but the Malta fab has clearly hit its stride. Today, GlobalFoundries has $12 billion "in the ground," according to SVP and General Manager Tom Caulfield (who was just appointed CEO), and employs 3,300 people with a payroll of about $345 million.

As a semiconductor analyst, I know a bit about the scale and complexity of advanced chip manufacturing. But seeing it in person is another thing. The Malta fab is massive. The initial building has since expanded and the cleanroom, which is located on the second floor, now encompasses 300,000 square feet. Next door, GlobalFoundries has constructed a second fab, connected by a "clean bridge" to a create a contiguous cleanroom with a total of 460,000 square feet--the equivalent of eight football fields. The air in this cavernous space is recycled 10 to 15 times per hour because contamination by even the tiniest particles can destroy a wafer in process worth hundreds of thousands of dollars.

In all, the cleanroom contains 1,400 tools located on the 'RMF,' raised modular flooring that allows airflow to remove microscopic particles, controls static discharge and provides access to the subfab below. Tools are grouped by function starting from front to back with CMP (chemical and mechanical polishing), deposition, diffusion annealing, etch and finally lithography. Metrology tools used to measure the chip's features at each step along the way are sprinkled throughout. The RMF is built on top of a waffle floor made of massive concrete Lego-like blocks--each weighing 22 to 24 tons--that dampen even the tiniest vibrations and ringed by a thick concrete walkway that allows workers to move around the cleanroom and supports the heavy tools when they are installed. Some steps that are not sensitive to vibration such as ion implantation are located off to the side.

The next big surprise is the level of automation. The fab's ceiling is covered with 14 miles of track on which up to 550 vehicles dart around removing cartridges with wafers from one tool and loading them into another. The cartridges, known as FOUPs (Front Opening Universal Pod), hold up to 25 wafers, each measuring about one foot in diameter. Some FOUPs also deliver the photomasks, or reticles, used to project patterns onto the wafers to and from the lithography tools--something GlobalFoundries says no other fab in the world does today. The complex choreography is all managed by software and the FOUPs are often stored in overhead staging areas--rather than centralized 'stockers'--so that they can be loaded as soon as the tool below is free.

"You are shoveling as much coal as possible into the engine to keep it running," explained Equipment Engineering Manager Stephen Miner.

That's not to say there aren't people in the cleanroom. Small groups of workers are constantly coming and going--white bunny suits for GlobalFoundries employees and gray suits to identify outside vendors--but they do not actually operate the tools. Instead they are installing or maintaining tools, monitoring processing steps or troubleshooting problems.

globalfoundries4.jpg
Source: GlobalFoundries

Most people think only of the cleanroom, but as John Painter, Senior Director of Facilities, put it, the fab is like an iceberg and a lot goes on beneath the surface to keep all of these tools humming 24 hours a day. For every square foot of cleanroom space, there is another six square feet of space in subfab on the first floor and in peripheral Central Utility Buildings, or 'CUBs,' to supply the fab with 80 megawatts of power, chemicals, gases and ultra-pure water delivered by miles of wiring and pipes.

But perhaps the most interesting part of the tour was an opportunity to see the next generation of lithography tools in a production environment. Lithography is regarded as the most critical step because it determines the minimum features on a chip--the metric that has sustained Moore's Law for 50 years. Today GlobalFoundries, like every other leading-edge chipmaker, relies on tools that use Deep UV light with a wavelength of 193nm to pattern the most critical layers.

The introduction of immersion lithography--replacing the air gap between the lens and wafer with water, which has a higher refractive index--helped to extend 193nm tools, but they have reached fundamental limits. To pattern the smallest layers, chipmakers now need to use multiple steps, a complex process known as multi-patterning that not only reduces productivity but also increases variability, which lowers the yield.

The solution to this is a new form of lithography that uses Extreme UV (EUV) light with a wavelength of 13.5nm. The smaller the wavelength, the finer the features. It sounds simple, but it turns out to be incredibly complex because EUV light is difficult to produce; gets completely absorbed by virtually any material including air, which means it needs to be used in vacuum; and can't be focused using lenses or conventional mirrors. ASML, the Dutch company that is the world's sole supplier of EUV tools, has been working on it since the late 1990s, and only now is it on the cusp of commercial production.

In Phase 2 at the back of its main cleanroom, GlobalFoundries has installed one EUV tool, a second is in the works, and there is room for two additional tools. Each is the size of a bus and costs around $130 million. To get them into Fab 8, GlobalFoundries had to cut a hole in the side of the building and install a 10-ton crane in the ceiling to lift them into place--all while maintaining the cleanroom environment with an airlock.

To make things even more challenging, EUV requires a powerful laser system in the subfab to generate extreme ultraviolet radiation. The 27-kilowatt CO2 laser fires two sets of pulses through a beam transport to the cleanroom above where it is steered into a plasma vessel and strikes tiny droplets of tin (around 20 microns in diameter). The pre-pulse flattens the tin droplet and the main pulse vaporizes it creating a laser-produced plasma (LPP) that emits EUV photons. These are collected by a special mirror that directs the radiation into the scanner where it is reflected off a patterned mask onto the silicon wafer. The system is so complex that a contractor, Total Facility Solutions (part of the M+W construction group that builds fabs), has spent months on site using a 3D laser scanner and BIM (Building Information Modeling) station to plot out the exact location of every component.

After years of false starts, EUV is nearly ready for high-volume manufacturing. "We are right at the tipping point--we are all in," Caulfield said. "I am extremely confident that EUV will become part of 7nm but it is not ready today."

GlobalFoundries plans to start 7nm "risk production" sometime next quarter (meaning it will be in commercial production sometime in the first half of 2019) without EUV, instead relying on 193nm immersion quadruple patterning. This 7LP process promises 40 percent better transistor performance (or 55 percent lower power) and a 30 percent cost reduction. A high-performance version will deliver an additional 10 percent speed boost. But GlobalFoundries says this will be followed by versions of 7nm that use EUV for contacts and vias, and eventually some metal layers, reducing the number of critical layers by 20 percent--cutting the cycle time and cost, and reducing variability. TSMC is pursuing a similar strategy, but Samsung has opted to wait until EUV is ready to launch 7nm and Intel's plans remain unclear.

globalfoundries2.jpg

GlobalFoundries has come a long way over the past year, but to go toe-to-toe with these rivals, it will need to keep making progress in two areas.

First, it needs to diversify its customer base. The acquisitions of Singapore's Chartered in 2010 and IBM Microelectronics in 2015 have helped. GlobalFoundries now operates five fabs with a sixth one in Chengdu, China under construction that combined can produce more than 800,000 wafers per month (in 200mm equivalents) for some 250 customers. But it needs more business beyond AMD and IBM at the leading edge.

GlobalFoundries said it has 82 designs on 14nm in the works--and it has released an FX-14 ASIC process that should compete for the growing business of AI accelerators. While it hasn't had much luck with high-end mobile SoCs yet, GlobalFoundries has been pushing an alternative manufactured on a different type of wafer substrate, known as FD-SOI (Fully-Depleted Silicon-On-Insulator), that is well-suited for low-cost mobile applications such as mid-range phones, wearables or IoT devices.

Second, GlobalFoundries needs to execute on its technology roadmap. It has just started production on a 12nm stopgap for AMD, but the 7nm ramp will be the real test since it was developed in-house by a team of around 400 engineers.

The current roadmap ends around 2020, but Patton said the research pipeline is full of good ideas. GlobalFoundries has around 700 'technologists' working on future solutions both in a dedicated area at Fab 8 and through the Albany NanoTech consortium with IBM and Samsung, equipment and materials suppliers and SUNY Polytechnic Institute. Future options include new materials, such as III-V compounds, or gate-all-around structures such as vertical nanowires or horizontal nanosheets. Last year, the consortium announced it had developed the first working 5nm chips using EUV to fabricate nanosheets that could one day enable processors with up to 30 billion transistors

These are formidable challenges. But seeing an advanced fab up-close gives you an appreciation for the challenges that the industry has already overcome and more confidence that it will continue to find innovative ways to make things smaller, faster and more efficient.

Editorial standards